Hollosi Information eXchange /HIX/
HIX TIPP 3086
Copyright (C) HIX
1999-01-19
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 re:Jukka (mind)  11 sor     (cikkei)
2 Sieles (mind)  11 sor     (cikkei)
3 asztal (mind)  10 sor     (cikkei)
4 ZULU (mind)  6 sor     (cikkei)
5 floppy serules (mind)  24 sor     (cikkei)
6 Letezik: Adobevallas1998.xls? (mind)  7 sor     (cikkei)
7 trisooo (mind)  7 sor     (cikkei)
8 Szerelmes vagy? (mind)  25 sor     (cikkei)
9 Parabolantenna - szakerto tanacsat kerem (mind)  16 sor     (cikkei)
10 Uzenetrogzitos telefont vennek (mind)  7 sor     (cikkei)
11 Frankfurt am Main ? (mind)  11 sor     (cikkei)
12 Re: Jogtiszta szoftverek, BSA... (mind)  32 sor     (cikkei)
13 Re: tovabbkapcsolas Svedorszagban ( 33 sor ) (mind)  27 sor     (cikkei)
14 Re: Jogtiszta szoftverek, BSA... ( 24 sor ) (mind)  35 sor     (cikkei)
15 ABBA a VH1-on HELP! (mind)  9 sor     (cikkei)
16 Re: Kis nyelvtan (mind)  74 sor     (cikkei)
17 Re: TOEFL CD-ROM (#3084) (mind)  13 sor     (cikkei)
18 Allaslesekedo (mind)  9 sor     (cikkei)
19 Re: Cenzor kereso (mind)  29 sor     (cikkei)
20 ???? (mind)  9 sor     (cikkei)
21 CD iro (mind)  8 sor     (cikkei)
22 tfn atiranyitas (mind)  66 sor     (cikkei)
23 net tord magad ne-tudd---ki (mind)  4 sor     (cikkei)
24 Re: vilagito matrica, kutya, Tirso (mind)  35 sor     (cikkei)
25 Keszhaz (mind)  7 sor     (cikkei)
26 Morgolodas:Realbank (mind)  5 sor     (cikkei)
27 domain-nev bejegyzes ? (mind)  17 sor     (cikkei)
28 Keresem Liviat (mind)  8 sor     (cikkei)
29 Spanyol nyelvkonyv! (mind)  11 sor     (cikkei)
30 Hupikek Torpe +w98 (mind)  8 sor     (cikkei)
31 Finn zaszlo (mind)  9 sor     (cikkei)
32 C@lgon (mind)  35 sor     (cikkei)
33 Re: tfn atiranyitas (mind)  26 sor     (cikkei)
34 vasuti menetrend (mind)  4 sor     (cikkei)
35 Re: Vilagito matricak (mind)  35 sor     (cikkei)
36 Talalt kutya (mind)  19 sor     (cikkei)
37 Re: #3085 Hasznalt elemek (mind)  17 sor     (cikkei)
38 Re: Bolt kovereknek (mind)  15 sor     (cikkei)
39 Re: "tolvaj" bankjegykiado automata (mind)  43 sor     (cikkei)
40 Radiotechnika (mind)  14 sor     (cikkei)
41 OTP a kasza-bank (mind)  40 sor     (cikkei)
42 Nincs isten, csak jo fizika ! (mind)  22 sor     (cikkei)
43 TV elofizetes... (mind)  8 sor     (cikkei)
44 energiatakarekos lampa (mind)  14 sor     (cikkei)
45 re:Velence (mind)  6 sor     (cikkei)
46 Re: ebvonitas ( 54 sor ) (mind)  23 sor     (cikkei)
47 B-A-Z. megyeiek figyelem! (mind)  7 sor     (cikkei)
48 Internetto, NE2000comp. halokartya + RG58-as koax halo (mind)  7 sor     (cikkei)
49 Pannon praktikum kerdes (mind)  6 sor     (cikkei)
50 Nyugdijpenztar (mind)  7 sor     (cikkei)
51 Matav telefonos ugyintezes (mind)  16 sor     (cikkei)
52 szelvedo futoszal (mind)  14 sor     (cikkei)
53 Re: Vilagito matricak (mind)  25 sor     (cikkei)
54 Re: Calgon+mosogep + triso (mind)  16 sor     (cikkei)
55 Randevu? (mind)  11 sor     (cikkei)
56 Re: TIPP #3085 Vilagito matricak (mind)  33 sor     (cikkei)
57 Angol nyelvtanfolyam (mind)  17 sor     (cikkei)
58 vegyesek+TELEBOROND (mind)  25 sor     (cikkei)
59 [HIRDETES] Hirdetes (mind)  3 sor     (cikkei)
60 [HIRDETES] Evolucio az arokban (mind)  8 sor     (cikkei)
61 [HIRDETES] hirdetes - csonak csere (mind)  3 sor     (cikkei)
62 [HIRDETES] pc elado (mind)  13 sor     (cikkei)
63 [HIRDETES] Meghibasodott HDD (mind)  12 sor     (cikkei)
64 [HIRDETES] Elado Cuccok (mind)  10 sor     (cikkei)
65 [HIRDETES] Elado televizio (mind)  10 sor     (cikkei)
66 [HIRDETES] Mercedes 180d 1954 (mind)  5 sor     (cikkei)
67 [HIRDETES] Bufevasarlas/berles (mind)  4 sor     (cikkei)
68 [HIRDETES] Cinemax kamera (mind)  2 sor     (cikkei)
69 [HIRDETES] Keresek tangoharmonikat es rex-asztalt!!! (mind)  1 sor     (cikkei)
70 [HIRDETES] Szerelmes vagy? (mind)  12 sor     (cikkei)
71 [HIRDETES] Elado alkatreszek. (mind)  7 sor     (cikkei)
72 [HIRDETES] K E R E S E M (konyv, lemezjatszo) (mind)  8 sor     (cikkei)
73 [HIRDETES] Irodabutort keresek nagyobb tetelben (szekek (mind)  9 sor     (cikkei)
74 [HIRDETES] E: AMD 5x86-133 (mind)  6 sor     (cikkei)

+ - re:Jukka (mind) VÁLASZ  Feladó: (cikkei)

Hello!

A Magyarorszagon szobaban tarthato jukkak kozol talan a
magas es egyenes torzsu orias palmaliliom (Yucca elephantipes)
a legelterjedtebb. Mivel hozzank is csak a torsze erkezik
feldarabolva, ezert nyugodtan lehet igy szaporitani.
Ilyenkor paras, meleg korulmenyeket igenyel.


Udvozlettel,
 Pali                mailto:
+ - Sieles (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Januar legvegen mennenk sielni 3-4 napra.
Tudtok valahol szalast Ausztriaban vagy Szlovakiaban amit nem kell elore
lefoglalni?
(Ha lehet telefonnal egyutt.)

Esetleg cegeknel "last minute" akciok? (Egyaltalan sielesre letezik ilyen?)

Koszi
ovitomi
+ - asztal (mind) VÁLASZ  Feladó: (cikkei)

sziokak

szeretnek venni Budapesten egy 150x80, vagy 150x90, vagy 160x80, vagy
160x90 cm-es polcos asztalt, ami lehetoleg nem a szetnyithato fajta hanem
ab ovo ez a merete.

A legtobb butorboltban ilyet nem lattam.
Ha valaki tud ajanlani kerem e-mailozzon.
Koszi
Jozsi
+ - ZULU (mind) VÁLASZ  Feladó: (cikkei)

Sziokak

mit jelent a JAG TV sorozatban az idomegadasnal a ZULU ?

Koszi
Jozsi
+ - floppy serules (mind) VÁLASZ  Feladó: (cikkei)

Kedves tippelok,

az elmult honapban tobbszor is azt
tapasztaltam, hogy hazaerve megserultek
a floppy lemezeim, a szamitogep a
directoryt kilistazta, de nem tudta
megnyitni a file-okat.

Ertetlenul alltam a jelenseg elott,
mig vegul rajottem, hogy ez akkor
fordul elo, amikor a piros metroval
a Moszkva ter es Deak ter kozotti
szakaszon kozlekedek.
Sem a kek metron, sem a MillFav-on,
sot, a piros metro Deak ter es
Ors vezer ter kozotti szakaszan
utazva sem fordult elo.

Szerintetek mi lehet azon a metroszakaszon,
ami miatt megserulnek a lemezek ?

udv:
Fodor Albin

+ - Letezik: Adobevallas1998.xls? (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,

Valakinek (vagy valahol) megvan az 1998-as adobevallashoz keszult exceles forma
tumu 
tablazat?

Udv...cSaBa
+ - trisooo (mind) VÁLASZ  Feladó: (cikkei)

>Aki mindenkepp nyugtatni akarja a
>lelkiismeretet es kimelni a penztarcajat hasznaljon
>mezei trisot ( Na-monofluorfoszfat ) az is kituno vizlagyito
>es rettento olcso.

Lehet, lehet, de a re'gi triso' attol volt _tri_, hogy 
trina'triumfoszfa't volt. (Na3PO4    8:-))    HF
+ - Szerelmes vagy? (mind) VÁLASZ  Feladó: (cikkei)

Szia!

Egy olyan Web oldallal szeretnelek megismertetni,
amivel vagy mar most, vagy nemsokara biztos kapcsolatba kerulsz.

Ez a SZERELMESEK Web oldala, Mindenkie aki Szerelmes,
vagy aki Szerelmes akar lenni, aki Megtalalta mar Tarsat
e Vilagban, es aki meg csak keresi.

Nezd meg te is!!!

Es kerulj fel Te es Szerelmed is a Web oldalra,
es minden ami Veled es a Szerelemmel kapcsolatos
dal, vers, mese, kep... stb.)


http://www.extra.hu/szerelmesek/angyalok.html


Szia VARUNK!
Brigi & Zoli


____________________________________________________________
EGON - az ingyenes levelezorendszer  http://egon.gyaloglo.hu
+ - Parabolantenna - szakerto tanacsat kerem (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt TIPPelök,
arról szeretnék megbízható szaktanácsot/információt, mekkora parabolantenna
szükséges manapság Budapesten (és környékén) a főbb nyugati programmcsomagok,
tehát elsősorban az ASTRA és az EUTELSAT műhold-rakásokon csoportosított
analóg adók jóminőségű vételére? Elég egy 90 cm-es, vagy nagyobb kell? Mi a
tapasztalat? 120, 150, 180 cm az optimális? (Némely tetőn-erkélyen monstruózus
nagyságú salátástálakat látok, másokon kisebbet.)

Hogy a digitálisan sugárzott műsorok 40-50 cm-es minikkel is foghatók, azt
tudom. De egyelőre nincs dekóderem, s nem tudom, érdemes-e már most
berendezkedni digitális vételre?

Ha volna tapasztalattal rendelkező szakértő a vonalban, felvilágosításért
nagyon hálás lennék!
Üdv,
Fischer István
+ - Uzenetrogzitos telefont vennek (mind) VÁLASZ  Feladó: (cikkei)

Nagyon szeretnek mar realis aron venni egy uzenetrogzitos telefont.
Persze ,tudom a boltok tele van vannak vele,de az en almom egy SIEMENS
rogzitos telefon(bolti ara 25ezer),de nekem megfelelne hasznaltan IS ,olcsobban
.
Mar feleve varok a csodara.
 

+ - Frankfurt am Main ? (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Van valaki a vonalban Frankfurt am Main-bol?

Ha igen, akkor legyszi irjal nekem maganban!

Koszi szepen:

Agoston Laszlo

Varazslatok >>> http://www.geocities.com/Area51/2413/
+ - Re: Jogtiszta szoftverek, BSA... (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

> Hirdetes.
> Mivela kereskedelmire nincs penzem, keresem a Windows 98 freeware vagy
> public domain verziojat vagy barmilyen ezzel egyenerteku freeware vagy
> public domain programot, esetleg shareware-t, ha a penztarcam birja.
> Kovetelmenyek: grafikus felulet, multitaszking, DOS, Windows 3.1,
Windows
> 95 es Windows 98 ala irt programok futtatasa.

Kicsit cinikus a hang, En csak egy alternativat ajanlottam arra, ha
valakinek
felelmei lennenek a BSA-tol.
Ha Neked nincsenek, akkor hasznald tovabbra azt amit jelenleg...

Egyebkent:
RedHat 5.2, Suse 6.0, Caldera 2.2, stb.
Minden fenti kovetelmenynek megfelel, bar a Win32-es programemulacio
meg elegge beta allapotban leledzik.
De nem hiszem, hogy barmely Windows-ra irt programnak ne lehetne
megtalalni a free valtozatat. Legalabbis Nekem a napi munkam soran
meg nem sikerult.
Az pedig koztudott, hogy a fenti kovetelmenyek nemelyikenek jobban
megfelel pl. egy Linux, mint egy Windows. (pl. multitaszking, gyors
op. rendszer, stb.) Es meg erogep sem kell ala.
Igaz, hogy egy StarOffice-ban nincs benne a repuloszimulator, meg a
flipper...

Udvozlettel:


		Istvan
+ - Re: tovabbkapcsolas Svedorszagban ( 33 sor ) (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

> En az ultrahangos szunyogriasztora emlekszem, a szunyogok fittyet
> hanytak ra, de ciripelo hangja elviselhetetlen volt. Mi lesz ha
> a kutyak fajdalmukban ugatnak majd, akkor a szerkentyu epp ellen-
> kezo hatast er el! Az allatvedok sem rajongananak az otletert.

Tojok az allatvedokre!!!
Es velem ki foglalkozik? Amikor mar 6 honapja minden ejszaka tobbszor
kell felebrednem, a rohadt dogok miatt?!
A hulye gazdaik meg nem kepesek fegyelmezni oket?

En meg a leghumanusabb megoldast valasztottam, mert akar meg is
mergezhetnem oket, de ilyet nem azert nem teszek, mert a TIPP-be nem
szabad ilyet irni, hanem mert tisztelem az eletet!

De aludni es pihenni akarok!!!

Udvozlettel:


		Istvan

u.i.: Na most jol megaszontam! :)
Kivanom magamnak, hogy Te is kerulj hasonlo  helyzetbe, mert akkor
biztos valami nagyon humanus otlet fog az eszedbe jutni a problema
kezelesere!
+ - Re: Jogtiszta szoftverek, BSA... ( 24 sor ) (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Meg egy adalek...
Linux-on tudsz futtatni C-67, Macintosh, OS/2, Amiga stb. programokat,
mig Win-en legfeljebb az 1.x-es OS/2-ket es a C-64-et.
Gondolom mindenki tudja, hogy pl. Mac-re jottek ki a legjobb grafikai,
tordeloi, stb.programok, PC-n meg mindig nem csinaltak olyan demokat,
kepeket, stb., mint Amiga-n, az OS/2 pedig a jo halozatos
alkalmazasairol is hires.
Szoval nem azert kellene a GPL-es programokat megismerni, hogy a BSA-tol
ne kapjunk gyomorfekelyt, vagy hogy ezt-, vagy azt az op. rendszer
divat-flame tabort noveljuk, hanem azert, hogy ne legyunk kiteve egy ceg
altal emelt korlatoknak.
Mindenki MS Word-ot keres, pedig ez csak egy program. Levelet irni,
szoveget-, tablazatot szerkeszteni ezer egyeb mas programmal is lehet,
es biztos hogy vannak koztuk rosszabbak is mint a Word, de jobbak is. A
Word csak egy markanev. A formatumat pedig mas programok is ismerik es
hasznaljak. 
Es miert kellene pl. 2000-ig varni olyan funkciokra, amellyeket mar ma
is tudna valaki hasznalni?

Egy tavalyi MS szeminariumon az eloado buszken mutatta be az NT 2000
remek, uj tulajdonsagat, hogy letrehozott a HDD-n egy konyvtarat, es
mount-olta ala a CD-t. Remek! Csak ezt mas op. rendszerek mar 10-20 eve
hasznaljak. Es egy halozati rendszergazdanak csak 2000-ben lesz arra
alkalma, hogy ezt hasznalni tudja, ha csak NT-vel akar boldogulni? Ezzel
csak onmagat es a rendszeret szoritja korlatok koze. Egyebkent a GUI-n
kivul a MS semmi uj, lenyeges dolgot nem talalt fel, csak lekoppintott
masrol. De azt eleg jol csinalta.
Na, mindegy...

Udvozlettel:


		Istvan
+ - ABBA a VH1-on HELP! (mind) VÁLASZ  Feladó: (cikkei)

Tudja valaki, hogy a VH1 angol zenei csatornan az "ABBA Live at the
Beatclub" 21-en (ezen a csutortokon) mikor kezdodik _magyar ido szerint_?
Az egyetlen infom szerint 23 orakor, de ez lehet, hogy angol idoben van
megadva. Jo lenne tudni azt is, hogy milyen hosszu lesz.

A tema jellege miatt minel gyorsabb valaszokat varok (lehetoleg a cimemre
/is/).

Ko:szi elore is: Emoke )
+ - Re: Kis nyelvtan (mind) VÁLASZ  Feladó: (cikkei)

 [Hungary]:
>
>   reszletesen felelt  kerdesere, miert 
>nem irhatja nagybetuvel az internetet. De mikor jelent meg a kerdes? 
>Mert a idei Tippekben nem.

Huhh, elnezest! Alighanem egy GURU-s levelet kevertem ide!... :-(
(@&#$^*@$*!...) 

De hat, ha most mar igy itt vagyunk...:

>  Ussetek, verjetek, sot tapossatok meg: en is nagybetuvel irom az
>Internetet...

:) Ezert meg nem jar veres, foleg, ha volt is ilyen tulajdonnev 
eredetileg (pl. az egykori ARPANET projekt utodja). Csak hat, 
akkor ird naggyal azt is, hogy nemzetkozi telefonhalozat, vasut, 
meg hogy sztratoszfera! ;) (Bocs, akik mar lattak ugyanezt a 
GURU-n.) Sejtesem szerint ugyanazert akarodzik nagybetuvel irni 
az internetet (- nekem is!!! -), amiert az Istent azzal irjak
(noha mas aspektusbol ilyen-olyan istenekrol is beszelhetunk):
egyszeruen "odavagyunk tole", es nem sajnaljuk az elejerol a
nagybetut... De viccen kivul. (Meglatjuk, hogy allandosul-e ez 
a kultusz az internet korul, vagy lekopik rola, az inicialeval
egyutt, es megmarad "egyszeru" globalis adatatviteli mediumnak.)
-------------

>>>Tab Control
>>	tobblapos beviteli elem (ezt nemigen forditanam "lejjebb",
>>	csak ha mar utnek...; a lenyeg hogy tobb lap egymas mogott
>>	egy-egy kis fullel, a fuleket hasznalva tudod az egyes lapokat
>>	elohuzni es aztan kitolteni vagy akarmi...)
>  De hiszen mar le is forditottad! Ketszer irtad: ful. Ez a magyar neve,
>eddig meg mindig igy lattam, hallottam.

(Hat igen, ful, ful, de fules micsoda? "Fules vezerlo"? Nem tudom, 
szerintem en ettol megbetegednek... ;) )

>>>Accessibility Window
>>	konnyitett kezelofunkciok ablaka
>  Nesze semmi, fogd meg jol... mi az, hogy konnyitett kezelofunkcio? 
>Az Esc billentyu peldaul az? Hiszen igazan nem nehez lenyomni.
>  Accessibility azt jelenti, hogy a fogyatekos felhasznaloknak specialis
>beallitasokat talaltak ki. Ezeknek az ablaka ez a holmi.

Hat igen, nem tisztaztam vilagosan a szempontjaimat, mikor a
magyarazataimat leirkaltam: nem lexikont akartam irni, hanem
csak eligazitast, azoknak, akik jol ismerik a Windows-t. Miert? 
Mert ezekkel a specialis fogalmakkal tulnyomoreszt Windows-os 
szoftverfejlesztok talalkoznak - plane, igy egyutt, mindegyikkel. 
(Ezert is jelent meg a GURU-n az eredeti level - ismet elnezest, 
hogy idekeveredett!) Ebbol a kiindulasbol akartam rovid, lehetoleg 
forditaskent is helytallo korulirasokat adni.
(Viszont megis kovetkezetlen voltam, mert azt mondtam, leirom
a kifejezesek _jelenteset_, ha valaki megsem tudna. Nos, vegul
egyaltalan nem ezt csinaltam, hanem pont azoknak irtam - reszben 
tehat foloslegesen... -, akik mar igenis ismerik a Windowst, csak 
a fejlesztoi terminologiaban kevesbe mozognak otthonosan.)

>>>rich edit control
>  En azert leforditanam: szovegszerkeszto.

Pedig nem szabad: ez a "rich edit control" a rich edit control 
neve, ami egy pontosan definialt eszkoz, es nem tanacsos osszekeverni 
az igazi, "mukodokepes" szovegszerkesztokkel (text editor), amik 
altalaban alkalmazoi programok (a Notepadtol a Wordig), es egyik 
se csak egy "control". Raadasul, ott a "multi-line edit control" 
is, ami szinten szovegbevitelre valo, es szinten csabito lehetne 
szovegszerkesztonek forditani, pedig, amellett, hogy onmagaban 
szinten nem hasznalhato szovegszerkesztesre, meg a rich edit 
controltol is lenyegesen kulonbozik. (Ezek tehat csak alkatreszei 
egy-egy szovegszerkesztonek; a TV kepcsovet se nevezzuk TV-nek.)

Sz.
+ - Re: TOEFL CD-ROM (#3084) (mind) VÁLASZ  Feladó: (cikkei)

> A kozeljovoben szeretnem megirni a TOEFL tesztet. Erdekelne, hogy
> erdemes-e megrendelni azt a CD-ROM-ot, amin tobb feladatlap szerepel es
> $50-ba kerul,  vagy egyeb uton is fel lehet keszulni ra?

Kezdetnek talan erdemesebb megrendelni a http://www.toefl.org/ cimrol a
TOEFL Sampler CD-t, ami ingyenes. Par feladat azon is van, illetve meg
lehet tanulni egerrel kattintgatni vele :-)


  Ed

 Szemelyes valaszt a fent jelzett cimre kuldhetsz, ha kijavitod.
 I don't want ANY spam! [...] I don't like spam!  [Monty Python]
+ - Allaslesekedo (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok draga gyirmikiim!

Segitsetek nekem! Mondjatok mar nekem nehany igazan jó, internet cimet ahol
el tudnám adni magam! (állásbörze, fejvadászok, önéletrajzokkal üzletelők,
stb.)
Valami mernökfele vagyok es ugy gondoltam dolgozni kene mar valamit, persze
itt Mo-on!  Előre is...

Kővágó Zsolt
+ - Re: Cenzor kereso (mind) VÁLASZ  Feladó: (cikkei)

Nem kovettem az eddigi beszelgeteseket, de nekem is van egy
problemam a Matavval. A dolog uzlet-etikai vonatkozasarol nem 
beszelek, -pedig lehetne- de praktikus tipp-et kerek.
Van egy szep digitalis vonalam a Matavtol. (II kerulet, Krisztina 
kozpont). A vonalat azert kertem kb egy eve, hogy az 
internetezes jobb minosegben menjen. Ez igy is volt kb juniusig,
ami ota komoly problemak vannak. A jelatviteli sebesseg (nevleges)
azota nem megy 14.4 fele - de ez meg elviselheto lenne- viszont
a valos atvitel csak kb 300 byte/sec ig megy fel, es ami meg
bosszantobb, ott sem megbizhato. Kb 10 perc (de ha szerencsem van 
fel ora) utan mar csak logok a neten es adat nem jon.
Igy persze netezni egyaltalan nem lehet, es emailezni is csak
eppen hogy.

Elso korben az internet szolgaltatot gyanusitottam meg, de kiderult
hogy a Matav dontott ugy hogy valami multiplexert rak a vonalakra.
Kerdesemre kozoltek (bar nagyon elozekenyen) hogy ehhez 
joguk van, nezzem csak meg a szerzodest amit alairtam, 
es hogy nem rendeltetesszeruen hasznalom a vonalat, hiszen
az nem adatatvitelre hanem csak hangatvitelre szolgal, ugyhogy
majd akkor szoljak ha a hangatvitellel van baj. Ha adatot akarok
atvinni garantalt minosegben, akkor rendeljek toluk berelt
vonalat.

Szoval a kerdes: volt-e valakinek ilyen problemaja, es sikerult
e megoldani?
Legyszives emailben (is) valaszoljatok az .

(webes bekuldes, a bekuldo gepe: wether.sas.com)
+ - ???? (mind) VÁLASZ  Feladó: (cikkei)

Bocsanat, ezt nem en irtam!
> Felado :  [Hungary] irta:

>OK NEM LAGYITANAK, CALGONIZALNAK Mi a franc a kulonbseg?

Sem "kiabalni" nem szoktam, es a "mi a franc" kifejezest sem 
hasznaltam meg:)))

Bela
+ - CD iro (mind) VÁLASZ  Feladó: (cikkei)

CR 2801 TE Mitsumi CD irorol van valakinek tapasztalata? Ha igen kerem ossza me
g velem is.
Koszonettel
csendi
______________________________________________________
mailto:
http://csendes.home.pages.de      Kistanyánk
Kabelsalat ist gesund
+ - tfn atiranyitas (mind) VÁLASZ  Feladó: (cikkei)

Torok Istvan irt egy reszletes maganlevelet nekem, amit itt most nem
idezek, de a lenyege az, hogy ketfele atkapcsolas van: call transfer
es call forwarding es en csak az egyikrol irtam. Magyarul nincsenek
lerogzitett nevek ezekre a szolgaltatasokra. Megneztem a telia
weblapjat (www.telia.se), de bolyongva a millio szolgaltatas kozott
vegulis feladtam es megiscsak felhivtam a vevoszolgalatot. 

Itt alapjaban veve ket szolgaltatas van.
1/ "medflyttning" magyarul koltoztetes, de en inkabb atkotesnek
neveznem. A *21*telszam kereszt gombok segitsegevel mondjuk az
otthoni telefonomat atiranyitom a nyaralomba. A kodolas a kozpontban
tortenik es az otthoni telefonom nem csendul meg mert a kozpont
a hivast kozvetlen a nyaraloba kapcsolja. En fizetem a beszelgetes
dijat a lakastelefonom es a nyaralo kozott, a hivo fizeti a 
lakasomig ervenyes dijat (ami ha a lakas es a nyaralo ugyanabban a 
korzetben van ugyanazt jelenti mintha kozvetlen a nyaralot hivna).
2/ "vidarekoppling" szoszerint tovabbkapcsolas en inkabb a 
tovabbitas szot alkalmaznam ra. Tobb valfaja van, de az elozotol
abban kulonbozik, hogy az atiranyitott telefonhoz a kozpont 
kapcsolatot letesit, de ha mondjuk 2 csengetesig nem veszik fel
(mert epp nincs otthon a gyerek) akkor a hivas automatikusan at-
iranyitodik a munkahelyedre vagy a mobilodra. *61*telnr*mp negyzet
ahol mp egy 5-60 kozotti szam, ennyi masodpercet probalkozik a
rendszer mielott tovabbkapcsolna. A fizetes ugyanugy van mint az
elso pontban.
Ennek egy fajtaja az a tovabbitas ami akkor lep eletbe ha a vonal
foglalt. *67*telnr negyzet. Fizetes mint az elso pontban. Meg
ravaszabb valtozat amikor a telia tarol egy sor telefonszamot
es a tovabbitas ezekhez megy, ha az elso foglalt akkor a masodikhoz
ha az is foglalt a harmadikhoz stb. Egyosszegben 180 kr a dija 
amikor a listat megcsinaljak, de egyebkent a szamlazas ugyanaz mint
az egyes pontban.

Szoval ugy tunik, hogy itt csak egyfele rendszer van. A difi ott 
van ha jol ertem Istvan magyarazatat, hogy a legelterjedtebb call
forward kapcsolaskor a hivo foglalt szam eseten is fizet Magyar-
orszagon. Ezekkel az ismeretekkel felvertezve ujbol felhivtam a
tudakozot es a kislany pont ugyanolyan bizonytalan volt mint 
magyar kolleganoje, kinlodott a telefonban, elnevetgeltunk rajta
milyen nehez is vegiggondolni. Szent meggyozodese, hogy csakis az
ugynevezett B beszelgetes letrejottekor szamitodik a kapcsolasi
dij es kezd ketyegni a szamlalo, vagyis ha felvettem a kagylot.
De ezt nem tudta halalbiztosra allitani, nics igy benne a tele-
fonkonyvben es a weblapon se talalom. Mar temperamentumom itt 
a hideg eszakon annyit hult, hogy nem szall a ver a fejembe :-))
Az a gyanum, hogy itt a HIX-en idonkent fellelheto indulatos
hangnemnek tobbek kozott az az indoka, hogy a problemak anyagi
vonatkozasat otthon fajobban erzik. Itt nem is nagyon jut az
embereknek eszebe ilyesmin toprengeni, hogy foglaltsag eseten
is szamlaz-e a kozpont, lenyegesebb megtakaritas erheto el ha
a teenager harom helyett csak ket orat beszelne. Otthon a draganak
erzett fenycsoves izzo kiegese duhrohamot kelt, itt ez nem tema.
De ott se lesz tema hamarosan, ha Isten is segit.

Gondolkodtam a kutyakon is. Itt az ilyet ugy intezik hogy a
tanacsnal bejelentik, az esetet kivizsgaljak (ertsd ejjel meg-
hallgatjak az ugatast) es kotelezik az allat lakasban tartasara.
Nemreg volt egy ilyen eset, ahol a szomszed kakasat akarta 
valaki elhallgattatni, de azt hiszem nem lett igaza mert a
falusias kepbe a kakas beleillik, aki videken lakik annak 
szamolnia kell vele, hogy a madarak csiripelnek, a kakas kukore-
kol. Vad kutyak ugatasat viszont egyedileg biraljak el. Az
alapelv, hogy senkit sem szabad zavarni egy bizonyos merteken
felul. Kivancsi lennek mit szolna az onkormanyzat egy ilyen
panaszhoz.
Viking
+ - net tord magad ne-tudd---ki (mind) VÁLASZ  Feladó: (cikkei)

A politikai elu kabiotoszeres cikked tobbszor bekuldted mar,
de mivel nevtelenul szeretned megjelentetni igy a nyilvanossag
elott kell megmondjam, ne faradj, a tema nem jelenhet meg a
TIPPben: Viking
+ - Re: vilagito matrica, kutya, Tirso (mind) VÁLASZ  Feladó: (cikkei)

> Felado :  [Hungary]
> Temakor: Vilagito matricak ( 15 sor )
> 
> A gyerekek kaptak nehany foszforeszkalo ontapados matricat, ami
> este villanyoltas utan meg par oraig vilagit. Korabban hallottam
> valakitol, hogy ez radioaktiv sugarzast is sugaroz magabol.

Ami allandoan vilagit, az tenyleg tartalmaz radioaktiv anyagot, de 
olyan elenyeszo mennyisegben, hogy elhanyagolhato a hattersugarzas 
mellett.
A masik fajta, ami feny hatasara 'feltoltodik', es csak egy biz. 
ideig vilagit, az nem tartalmaz radioaktiv anyagot.


> =======================================================
> Felado :  [Japan]
> Temakor: kutyak ( 11 sor )

a Zs*ru c. ujsag e heti szamaban van egy KFT. hirdetese, amely ilyen 
ultrahanggal mukodo kutyariasztot gyart.
Arat nem irtak.

> =======================================================
> Felado :  [United Kingdom]
> Temakor: Re: Calgon+mosogep ( 9 sor )
>
> Aki mindenkepp nyugtatni akarja a
> lelkiismeretet es kimelni a penztarcajat hasznaljon
> mezei trisot ( Na-monofluorfoszfat ) az is kituno vizlagyito
> es rettento olcso.

Egyetertek, de a Triso az csak trinatrium-foszfat.

Udv; Petike 
http://hal2000.elte.hu/~petike
+ - Keszhaz (mind) VÁLASZ  Feladó: (cikkei)

T. Tipp,

Munkatarsam viharsebesen epittetni szeretne.
Van-e a vonalban valaki, aki mar tapasztalatokat szerzett a
Bien-Haus vagy San-Team /remelem jol irtam/ markaval
kapcsolatban?
Elore is koszonom a segitseget!
+ - Morgolodas:Realbank (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok!
Szerintetek mivel erdemelte ki a Realbank, hogy bezarjak, amikor
alig csinalt hianyt a Postabankhoz kepest?
Es foleg miert a beteteseken verik el a port? Ok teljesitettek
a szerzodest. Nem valami felugyelet hibazhatott?
+ - domain-nev bejegyzes ? (mind) VÁLASZ  Feladó: (cikkei)

sziasztok!

- ki tudja, hogy lehet egy xxx.com domain nevet ellenerozni, 
  hogy mar foglalt-e?
  (arra gondolok, hogy mar valaki regisztraltatta,
  de meg nem uzemelteti)

- illetve, hogy lehet egy xxx.com-os domain nevet
  regisztraltatni ?   
  (ha jol tudom, akkor valami szervezetnel kell
   kb. 70 USD lepengetni ?! 
   ez evente, vagy csak egyszer ?, melyiknel(www)? )

- es meg egy, hogy lehet ezt a domain nevet uzemeltetni ?

koszonet, 
dzso
+ - Keresem Liviat (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Ha valaki tud valamit Kolossa Liviarol, az legyen szives
irjon par sort.

Livicsek, ha Te olvasod, akkor kerlek irjal!

Csabi
+ - Spanyol nyelvkonyv! (mind) VÁLASZ  Feladó: (cikkei)

Nanu!

Spanyolul szeretnek tanulni es mivel kezdo vagyok, ezert egy olyan
nyelvkonyv kellene nekem amihez kazetta is van, hogy a kiejtessel is
boldoguljak.
Tobb konyvesboltba betertem mar Pesten, de konyvet es kazettat egyutt
meg nem talaltam. Tudna valaki egy olyan uzletet ajanlani ahol ilyesmi
elofordulhat? Esetleg valami kifejezetten idegennyelvi konyvesbolt.

Koszi
zsolo
+ - Hupikek Torpe +w98 (mind) VÁLASZ  Feladó: (cikkei)

Hi!

W98 alol meg nem probaltam, de DOS modbol hibatlanul megy.

Persze nem a w98 szuper be-ki DOS-bol, hanem boot-nal valasztottbol. 
(be elott :-))

Udv: Gabor
+ - Finn zaszlo (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok TIPP-elok!

Egyik ismerosom finn zaszlot szeretne, de nem tudja,
hol jut6 hozza. Ha valakinek van 5lete ezzel kapcsolatban,
kerem, irjon akar listara, akar maganba.

Koszi:

        Mark
+ - C@lgon (mind) VÁLASZ  Feladó: (cikkei)

>Abban veled is es mindenkivel egyetertek, hogy a calgon az egyik
legnagyobb
>atveres, 
Nagyon orulok, hogy masok is szamolnak.

>de a letezik olyan fogalom hogy hoveszteseg vagy itt nem is tudom
>minek kene neveznem. A lenyeg, hogy a kemeny viz lagyitasara szukseg
van,
>mert ho hatasara a kemeny vizben levo Ca es Mg molekulak (a viz
valtozo
>kemenysege) kicsapodik a futofeluleten. Es ezek az anyagok hirhedten
rossz
>hovezetok, ami olyan szinten hatrany, hogy a futofeluletnek az a resze
nem fut.
>A lerakodott reteg alja lehet, hogy meleg, de a kulseje nem. Egyszoval
a meleg
>nem csak a vizbe tud "elmenni".
Hat akkor hova? A futoszalon ho keletkezik, ez nem maradhat benne.
Igazabol ott van a baj, hogy a vizko hoszigetel, ezert a futoszal
jobban
felmelegszik, mert nem erintkezik kozvetlenul a vizzel. Ez a magasabb
homerseklet teszi tonkre a futoszalat, de amig mukodik, addig azert
melegit.
Nevetsegesnek talalom a  ketsegbeesett onigazolo reklamjait,
amivel a sajtoban (es a TIPPben) megjeleno leleplezesekre reagal.
Ha lenne egy kis eszuk, bevethetnek a viszszivattyu elvizkovesedesenek
remkepet. Ez sokkal valosabb problema, (sajat tapasztalatabol is tudom)
es nagyobb karokat (javitasi koltseget) is okoz. Persze meg igy is a
toredeket, mint amit az onkentes 'ra kifizethetnenk.

Ja, es a magyar vizlagyito (csill@g) sem olcso annyira, hogy megerje.

***********************************
    Akos   
***********************************
+ - Re: tfn atiranyitas (mind) VÁLASZ  Feladó: (cikkei)

Udv TIPP-elok !

Viking mai levelet szeretnem kiegesziteni. Az un. "call transfer" 
amirol en irtam Vikingnek nem mas mint a Viking altal emlitett 
harmadik, ravasz megoldas. Ez nalunk nincs elterjedve meg.
I
tthon az un. call forwarding az elterjedt. A call forwardingot 
mindenki ismeri, ez altalaban sajat keszulekrol is programozhato, 
de a kozpontban van az informacio tarolva, s foglaltsag, nem felel, 
stb. eseten lep eletbe az atiranyitas. Call forwarding eseten nalunk 
es mashol is, mindket szakasz dijat ki kell fizetni, A hivo az elso 
szakasz dijat fizeti, az atiranyitast kero a masodikat.  A Viking 
altal emlitett harmadik "ravasz" megoldas a call transfer, ez az ami 
nalunk meg nincs elterjedve. Ennek lenyege: a kp szamitogepe 
tarolja az informaciokat, s ha az elso szam foglalt azonnal atterheli 
a hivast a masodik megadott szamra, majd a harmadikra, stb. 
Ebben az esetben a hivas nem ket reszbol all, hanem kozvetlen 
kapcsolatba kerul a hivo es a vegkeszulek. Ez pedig egy 
szakaszbol all, ezert kicsit csodalkozom, hogy erre is mindket 
szakaszt leszamlazzak Telia-nal. Ez valoban nem szokas.
/Lehet, hogy teved ebben is az ugyfelszolgalat ?/
Ebben az esetben mukodik a Hajdu Csaba altal is reklamalt elv:
nem kell fizetni a foglaltert, hisz csak egy szakaszbol all a hivas. 

Udv:
Torok Istvan
+ - vasuti menetrend (mind) VÁLASZ  Feladó: (cikkei)

Van valakinek TIPPje, honnan, kitol (esetleg ingyer') lehet szerezni
olyan programot, amely az ervenyes menetrend szerint megmondja, milyen
vonatokkal, atszallassal juthatok el A-bol B-be?
URL cim nem jo, csak freemailem van.
+ - Re: Vilagito matricak (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

> Talan akad itt valaki aki tobbet tud errol a jelensegrol es megirja,
> hogy veszelyes lehet-e ez a matrica a gyerekekre (elolenyekre),
> mielott ezzel lenne kitapetazva az egesz szoba.

Van egy jobaratom, aki az ANTSZnel foglalkozik a radioaktiv
sugarzasokkal. ( Paks itt van tolunk kb 30 km-re.)
O allitotta ossze az alabbi oldalt:
http://www.tolna.hungary.net/antsz/index.html

Egyszer megkerdeztem a vilagito szamlapu orakrol.
Azt mondta, hogy a vilagito mutatok sugarzasat ugy szoktak merni,
hogy tobb szazat raknak ossze, mert csak akkor er el a
mutatok sugarzasa es a hattersugarzas kozti kulonbseg akkora 
erteket, hogy ne legyen nagyon nagy a meres hibaja.

Nekem is van otthon vilagito szamlapu oram. Amikor
lekapcsolom a vilagitast akkor nagyon vilagit. hajnalban
meg alig, de akkor is. Ebbol arra kovetkeztettem, hogy
el is nyeli a fenyt es van benne radioaktiv anyag is.
A fluoreszkalo eszkozok csak 1-2 ora hosszat kepesek
vilagitani. A radioaktiv modon gerjesztettek pedig a gyartastol
kezdve tobb evtizedig.
Ha a gyerekszoba matricai hajnali 5 ora kornyeken egyaltalan
nem vilagitanak akkor teljesen nyugodt lehetsz !
Ha akkor is vilagitanak amit a koltsegek miatt nem hiszek
akkor sincs akkora sugarzasuk, mint amekkora gamma
sugarzast kapsz Te most a szamitogep kepernyojetol amikor
olvasod az irasomat. 
( Ha laptopon olvasod, akkor tevedtem. ) :-)

Udv. Tibi
A vilagon csak 3 fele dologgal erdemes foglalkozni:
ami jolesik, ami hasznot hoz, vagy amivel segitesz valakin.
+ - Talalt kutya (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Nemet vizsla csokibarna kan kutyat talaltunk Szombathelyen,
januar 15-en penteken. A kutyan bor nyakorv es zold
oltasi bileta volt. Nagyon baratsagos, kedves allat.
Jelenleg a szombathelyi gyepmesteri telepen van.
Keressuk a gazdajat, vagy barkit, aki befogadna.

Lakasban es kertben egyarant tarthato. Elobbi esetben
nagyon sok setat, utobbiban egy meleg kutyaolat igenyel.

A gyepmesteri telep telefonszama: 94/324-351.
Vagy irhattok a cimemre is: 
(Figyelem ! A gyepmesteri telepen csak 14 napig tartjak
a kutyakat, utana elaltatjak oket. Surgos megoldast 
keresunk !)

Koszi
Andi
+ - Re: #3085 Hasznalt elemek (mind) VÁLASZ  Feladó: (cikkei)

Hali

> Felado :  [Hungary]
> Temakor: Hasznalt elemek ( 15 sor )
....
> van egy nagy zacsko hasznalt elemem (kb. 3-4 kg), 6-8 eve halmozom oket,
......
> Azt tudom, hogy vannak jo par helyen nagy zold elemgyujto dobozok, de en
> nem szeretnem a 100+ darab elemmel egyenkent megetetni azokat az
> egyelemnyi lyukon keresztul.

Ha budapesti vagy: A Hatar uti metromegallonal az 
uzletkozpontban a MediaMarkt szelso penztara mogott lattam 
nemreg egy normal, nem "etetos" zold kukat, melyre ~ "Hasznalt 
Elemek" volt rairva.

Byte
+ - Re: Bolt kovereknek (mind) VÁLASZ  Feladó: (cikkei)

 irta:

>Kedves Tibor,
>Pesten a Blaha Lujza térnél a Dohány utcában van egy bolt, ahol 60-nál
>kezdődnek az ing és öltönyméretek. Ott biztosan találsz magadnak. Ha úgy
>döntesz, hogy feljössz, írjál magánba és leírom pontosan, hogy hol 
>találod
>meg az üzletet. szombaton is nyitva vannak délig. Sajnos nem emlékszem a
>bolt nevére, így a telefonszámot sem tudtam kinyomozni neked.
>Üdv,
>Stefi

Van egy olcsobb bolt Zugloban, a cime: Czobor u. 3. A neve pedig Orias. Eleg
jo a valasztek nadragokban es zakokban - a tobbi ruhacikket nem tudom, mert
abbol a normal boltban arult is jo nekem, annyira kover meg nem vagyok... :-)
+ - Re: "tolvaj" bankjegykiado automata (mind) VÁLASZ  Feladó: (cikkei)

 irta:

>Azert egy bizonyos hibaszazaleka lehet annak a szegeny masinanak.
>Altalaban azert jol ad ki penzt.

Gyakrabban fordul elo, hogy tobb penzt ad ki, mint az, hogy kevesebbet,
ugyanis a bankjegyek neha osszeragadnak. De altalaban a hibaszazalek
minimalis. En harom honapig dolgoztam ilyen helyen es ezalatt pl. egyetlen
hiba sem fordult elo.

>Tudtommal a penzt dobozokban taroljak benne, feltoltesnel tulajdonkeppen
>dobozt cserelnek(3db-ot, de gondolom ezt tipusa valogatja).

A nagyobbakban 6-7 doboz van.

>Utana a dobozok-ban levo penzt megszamoljak, es ki fog derulni, hogy 
>5e-rel
>tobb van(kiprintelik a kiadasi osszesitot, meg on-line is meglehet). Es
>ekkor majd egyszer visszakapod.

Rogton reklamalni kell (meg ugyanaznap), mert a legtobb helyen naponta, de
legalabbis hetente tobbszor cserelik az ATM-ben a penzkazettakat, ezert ha
rogton jon a reklamacio, akkor van esely arra, hogy az ember visszakapja a
penzet. Ha nincs reklamacio, akkor a bank elkonyveli a penzt mint tobbletet,
s ha mar el van konyvelve, akkor keso.

>Talan nem teszi zsebre senki, azert egy bank-ban csak meg lehet bizni
>ennyire? 

A legtobb helyen ket ember vegezheti es csakis egyszerre az ATM-mel
kapcsolatos feladatokat. Azaz ossze kellene beszelniuk ahhoz, hogy zsebre
tehessek a tobbletet, ennek a valoszinusege nagyon kicsi, hiszen senki nem
hajlando 5 vagy 10 ezer forint miatt bunreszesseget vallalni - ennel tobb
meg ugyse fordul elo. Egy bankban legkevesbe az ATM-es ember tud visszaelni
helyzetevel. Az ertektaros sem tud visszaelni, pedig nala van a legtobb penz
(egy kis bankfiokban napi 100 millio atmegy a kezen). Legnagyobb a
visszaelesi lehetoseg a penztarban es a szefben, de ez nem jellemzo, hiszen
a visszaelesbol szerzett esetleges haszon maximum nehany ezer forint lehet
es oriasi a veszelye annak, hogy az alkalmazottat vegulis elkapjak es
kirugjak azonnal. Ki akarna kockaztatni havi 100-200-300 ezer forintos
allasat (na jo, az OTP-nal a legkevesebb a fizetes, ott meg 100 alatt van)
egy ellopott otezer forintos miatt? Azaz tokeletesen meg lehet bizni a
bankalkalmazottakban. A banktulajdonosokban mar nem annyira... :-)
+ - Radiotechnika (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok emberek,

  Olyan embereket keresek, akiknek megvan a Radiotechnika evkonyve,
  megpedig a 79-es. Ebbol kene beszkennelni, (vagy ilyesmi) 213-214
  és a 242. oldalt, nem lennek halatlan. Elore is koszi,

Udvozlettel:
 GoldAngel                                    

UIN: 15385732
Anti Micro$oft list: mailto:?subject=Subscribe
<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< == >>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>
Oroszlanszivu Richard - az elso szivatultetes?
<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< == >>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>
+ - OTP a kasza-bank (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok TIPPelok!

Ha szemelyesen penzt veszek fel a folyoszamlamrol akkor 
az koltsegekkel jar!!(az OTP-nel!!)
Mas bankoknal is ez a helyzet, vagy mashol ingyenes??
 
-OTP:minden penzfelvetel 50 Ft plussz a felvett osszeg 0.2 %-a
de maximum 2000 Ft!!(meg szerencse, hogy van max...)
Itt kezdodik a kasza pl.:
Vegyunk 1 embert akinek a fizetese 40.000 Ft amit atutalnak
a szamlajara. Tegyuk fel, hogy emberunk hetente 2-szer 
5.000-5.000 Ft-ot vesz fel.
1 akcio: 5000 Ft  koltsegek: 50+ 5000-nek 0.2%=50+10=60 Ft
1 honapban 8 "akcional" a koltseg: 8x60=480 Ft!!!
  
Ezek utan lehet osszehasonlitana a szolgaltatast a koltsegevel:
A pultos holgy foglalkozik az ugyfellel kb. 2 percet, 2 perc 60 Ft!!
(Akkor 1 ora 30x60 Ft= 1800 Ft lenne!! de nem az)
Termeszetesen ez az oraber nem teljesen igaz mivel nem minndenki
penzfelvetel miatt megy a szuperbankba es a felvett osszegek is
valtoznak esetenkent!
Eppen ezert szamoljunk napi 50 ugyfellel 50x60 Ft=3.000 Ft!!
Havonta van 20 bankugyi nap akkor: 20x3000 Ft=60.000  Ft!!(1 fioknal)
Es itt indul az igazi KASZA, mivel szamtalan fiok letezik az
orszagban emiatt ez az osszeg megsokszorozodik!!!

-Igen, igen sokan mondhatjak azt, hogy ha nem tetszik a rendszer
miert utaltatok folyoszamlara?!
Manapsag minden mukaltato csak szamlara hajlando utalni a fizeteseket!!
-Masok azt mondhatjak, hogy ingyen semmit se adnak!
De ezert mar fizettem mivel a folyoszamla kamata (6-11%-ig)
olyan csekely hogy itt mar 1-szer meglopott az OTP!!
(ezt a lenyult osszeget betudhatjak az osszes koltseguknek es
hasznuknak!!)

Roviden ezert erdekelne, hogy mas bankoknal mi a helyzet??
 
Sziasztok :)
mailto:
IRC: Csaba #eger
+ - Nincs isten, csak jo fizika ! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

A Copperfield temahoz hadd zsoljak hozza !
Egy buvesz ismerosommel jokat derultunk a trukkokon. Az is teny, hogy a
technikai hatterhez iszonyatos penz kell, de ez a 25 ezer Ft -os jegyarakbol
nem vitathato hogy "fussa".
A padlasszobabol eltunest egy vizszintesen mozgo "plato" -val oldottak meg.
Mikor a lepel mogott jatszottak a fenyekkel, valojaban egy torzitott arnykepet
vetitettek a nezoknek, ami a lepelen ugy latszott, mint ha a stecklampaval
integetne a fuggony mogott. Az emberkeket eztan mozgattak vissza.
Hogy kaptak -e jattot a mutatvanyert, ahhoz ketseg nem fer.
Teny hogy Copperfield elegge kulonleges egyeneiseg, akinek megvan a penze
es az ideje arra, hogy tudosokat foglalkoztasson a minel tokeletesebb
mutatvanyok elkeszitesehez, es a jonep amulatba ejtesehez.
Nagyon hajlekony ember, ezert barhova be tudja magat "hajtogatni".
Arrol nem beszelt senki, hogy letezik -e ikertesvere, vagy hasonmasa, hogy
egyszerre ket helyen is legyen...
Az ikertestveremmel mi is sok embert amulatba ejtettunk...mikor a Bartok Bela
uton megegyszer felszalltam a dunaujvarosi buszra...egy masik novel, mint
akitol elbucsuztam az Erzsebet teren...

Peter.
+ - TV elofizetes... (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Kellene egy jogasz, aki megmonja, mi az igazsag...
Ha en nem rendelkezem az allami TV2 -re alkalmas muholdvevovel, akkor csak
az 50% -at latom a kozszolgalati allami televizionak.
Akkor mi van, ha en csak az 50% -at fizetem ???

Peter.
+ - energiatakarekos lampa (mind) VÁLASZ  Feladó: (cikkei)

Hi !

> Felado :  [Hungary]
> - - - - - - - - - - - - - - - - - - - - - - - - - - - -
>Egyebkent nalam egy Philips gyartmanyu kb. 20 percet birt ki...
Nalam meg a Tungsram megy mar kb. 3. eve.
Minden nap ki-bekapcsolgatjuk.  Atlag 5-6 orat megy folyamatosan.

>Ez annyira felbosszantott, hogy kb 5 perc varakozas utan a kukaban >kotott
ki.
Hol van az a kuka ??  :-))


Ultima
+ - re:Velence (mind) VÁLASZ  Feladó: (cikkei)

Hali!

>>Valamint keresem VEGH IZABELLA e-mail cimet!

 
Fruzsi
+ - Re: ebvonitas ( 54 sor ) (mind) VÁLASZ  Feladó: (cikkei)

 irta:
> >> ultrahangot adott ki ha ugatast erzekelt, es egy ido utan a
> kutyus
> >> vagy kussol, vagy az erezekeny fulevel megorul az
>
> En talakoztam ilyen keszulekkel a Budapest Sportcsarnokban a
> karácsonyi vasarban es meretet tekintve tenyernyi volt, de
> arra volt kiatlálva, hogy ha valakit megtámadna valami eb
> azt hathatosan tavoltartsa. Lehet, hogy ez a keszulek alkalmas
> lenne, hogy a nagyszaju kutyakat nemasagra iteljetek.

Egy ismerosom meselte, hogy latott valami ilyesmit mukodesben,
de nem tudta megmondani, milyen gyartmanyu volt vagy hol
vettek. Eppen mentek be egy udvarba, es a kutya ugatva
rajukrontott. A haverja megnyomta a keszulek gombjat,
semmit nem hallottak, de az a hatalmas dog nyuszitve,
fulet-farkat behuzva rohant vissza a haz moge az oljaba.

Ha valaki tud ilyesmirol,koszonettel vennem.
Persze, biztosan van ebbol is hamisitvany, es a vasarlas
helyen nem nagyon lehet kiprobalni. 

k_tibor
+ - B-A-Z. megyeiek figyelem! (mind) VÁLASZ  Feladó: (cikkei)

Varom Borsod-Abauj-Zemplen megye falvaiban elok jelentkezeset, akiknek jol
jonne egy kis zsebpenz kereseti lehetoseg idonkent! Olyan partnereket
keresek akiket e-mailen elerhetek, tovabbi elony ha az illetonek van
nyomtatoja vagy nyomtatasi es fenymasolasi lehetosege de ez nem feltetel!
Tovabbiakrol maganban ertekezunk!
udv.
Laci
+ - Internetto, NE2000comp. halokartya + RG58-as koax halo (mind) VÁLASZ  Feladó: (cikkei)

Hi

Meg tudna mondani valaki, hogyan tudnek az felmaszni az Internetto-ra
freemail-el,
vagy valami free modon a 33-as korzetbol???

Meg mindig keresem a sub-ben feltuntetett halokartyat + koaxkabelt
+ - Pannon praktikum kerdes (mind) VÁLASZ  Feladó: (cikkei)

Hi

Vasarolni szeretnek Pannon Praktikum kartyat.
Tapasztalatokat kerek valakitol, erdekel jo es rossz tapasztalat

Elore is koszonom a valaszokat
+ - Nyugdijpenztar (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt Holgyek es Urak!

Melyik kotelezo magannyugdij penztart erdemes valasztani.

Koszonettel,

Borosy Andras
+ - Matav telefonos ugyintezes (mind) VÁLASZ  Feladó: (cikkei)

>>>
Miert nem, most mondta a reklam, hogy minden telefonos ugyet... 
Akkor sem lehet. Rossz a reklam. Menjek be az ugyfelszolgalatra,
ott irasban...
<<<
En a Krisztina kozponttal (Bp) telefonon bonyolitottam le
a vonalam levedeset jelszoval. Elmondtam, hogy mit szeretnek,
ok a telefonszamlamrol kertek egy azonositot.
Beolvastam, megnyugodtak, megallapodtunk egy szamkodban
es masnaptol mukodott a dolog. 
--
Meszaros Geza
ui: 
Volt egy gyanum, hogy a hazban valaki neha log a vonalamon.
Most a szomszedomnak van ilyen gyanuja. 
Egy kis privat technika bevetese es "kozelg a lincseles"
+ - szelvedo futoszal (mind) VÁLASZ  Feladó: (cikkei)

Tegnap delelott futottam ossze egy mobilizalt pasival.
Eltuntette a felverodott kavics okozta godroket 
a szelvedomon. Javit futoszalat, repedt szelvedot, 
tukrot, lampa burat, graviroz rendszamot.

Ez csak egy tipp akar lenni es nem reklam. 

Azert adok egy kulcsszot
" tankolasHengermalomBudafoki "

A megfejtok kb 10h es 17h kozott ratalalnak.
--
Meszaros Geza
Budapest
+ - Re: Vilagito matricak (mind) VÁLASZ  Feladó: (cikkei)

Kedves E. Laszlo & Mindenki!

> A gyerekek kaptak nehany foszforeszkalo ontapados matricat, ami
> este villanyoltas utan meg par oraig vilagit. Korabban hallottam
> valakitol, hogy ez radioaktiv sugarzast is sugaroz magabol.

Nem valoszinu.  A regi (30-40 eve gyartott) vilagito szamlapu orakon
levo festek valoban tartalmazott radioaktiv izotopot - ha jol emlekszem
Thoriumot. Az ez altal kibocsatott alfa reszecskek gerjesztettek
vilagitasra a festek lumineszkalo anyagat. Gyakorlatilag ez sem volt
veszelyes, mert ezeknek az alfa reszecskeknek a hatotavolsaga levegoben
nem tobb mint 10 cm (de a kozel rakott GM-csovet valoban beinditotta).

Ilyet manapsag mar nem hasznalnak. Helyette van sokfele foszforeszkalo
anyag, amikre az jellemzo, hogy megvilagitas hatasara vilagitanak a
megvilagitas megszunte utan is. A legismertebbek a Zn, Ca, Sr es Ba
szulfidjai - keves nehezfem vagy szerves anyag szennyezessel. Ezeken
kivul sok szerves anyag is hasonlo tulajdonsagokkal bir. A vilagitas
idotartama erosen anyagfuggo, nehany masodperctol nehany napig terjed.
Ezek - az anyagra jellemzo szinu - fenyen kivul semmilyen sugarzast nem
bocsatanak ki, tehat nem karosak az elolenyekre.

Remelem, sikerult megnyugtatnom az erintetteket!

Udv: Sailor
+ - Re: Calgon+mosogep + triso (mind) VÁLASZ  Feladó: (cikkei)

> Aki mindenkepp nyugtatni akarja a
> lelkiismeretet es kimelni a penztarcajat hasznaljon
> mezei trisot ( Na-monofluorfoszfat ) az is kituno vizlagyito
> es rettento olcso.

Bocsanat, de en meg ugy tanultam az iskolaban, hogy a triso az
trinatrium-foszfat, azaz Na3PO4. Nem hiszem, hogy azota ennyit
valtozott volna a szervetlen kemia :)

Az igaz, hogy kituno es olcso vizlagyito, de mielott valaki hazilag
kiprobalna, vegye figyelembe, hogy oldata erosen lugos kemhatasu.
Ezt a szines ruhak nem nagyon szoktak szeretni. Vigyazni kell tehat
az adagolasra. Sajnos pontos merteket nem tudok mondani (nem vagyok
vizlagyito szakember, es nincs a kozelemben szakirodalom).

Udv: Sailor
+ - Randevu? (mind) VÁLASZ  Feladó: (cikkei)

Hi !

> Felado :  [Hungary]
> - - - - - - - - - - - - - - - - - - - - - - - - - - - -
>Keresnek egy olyan helyet, ahol ket ember, szolid korulmenyek >kozott,
szolid ar ert kettesben eltolthet par orat, rendszeresen.
HOL ???
Karakoszorcsokpuszta ??  Netan Boston ??  Vagy Nyizsnyij Novgorod ???


Nandi ba'
+ - Re: TIPP #3085 Vilagito matricak (mind) VÁLASZ  Feladó: (cikkei)

Erdos Laszlo kerdezte:
> 
> A gyerekek kaptak nehany foszforeszkalo ontapados matricat, ami
> este villanyoltas utan meg par oraig vilagit. Korabban hallottam
> valakitol, hogy ez radioaktiv sugarzast is sugaroz magabol. Az
> illeto arra hivatkozott, hogy a regi vekkereken a szamlapon (talan
> nehanyan emlekeznek meg ilyenre) is volt ilyen anyag, es ha GM
> szamlaloval mertek akkor a muszer igencsak kattogott ahogy
> kozelitettek az orahoz a merofejet.
>

Laszlo, aki neked ezt mondta az keveri a szezont a fazonnal!
A vilagito matricak nem adnak ki radioaktive sugarzast. Ezeknek
festekeben foszforeszkalo anyag van amit a lathato feny gerjeszt fel. Ez
a festek fenyenergiat raktaroz amit feny formajaban visszaad ujra. Ezt a
fenyt latod a lampaoltas utan. Ezert van az hogy a matrica fenyet a
lampa leoltasa utan csak bizonyos ideig latod. A raktarozott energia
"elfogy".

A regi orak szamlapjaban egy beta-sugarzo izotop volt, altalaban
tritium. Ez a beta sugarzas gerjesztette a festeket ami aztan lathato
fenyt adott ki. Viszont ezeknek az oraknak a feny kisugarzasa folyamatos
volt es akar tobb ezer evig is vilagithattak volna anelkul hogy ujra
kelett volna oket fenyre vinni. Az ilyen oraknak a kornyezetbe leadott
sugarzasa elhanyagolhato volt mivel a beta sugarzas behatolasi tavolsaga
csak 1-2 cm levegoben es a millimeter toredeke uvegben vagy femben. A
baj akkor volt ha valaki szetszedte az orat es szetkente a festeket.

Meg regebben (II. VH elott) voltak olyan orak/muszerek is amik gamma
sugarzo izotopot hasznaltak a feny gerjesztesere. Ezeknek a sugarzasa
neha okozhatott bajokat.

Geza
+ - Angol nyelvtanfolyam (mind) VÁLASZ  Feladó: (cikkei)

> Felado :  [Hungary]

>Tudnatok ajanlani olyan intenziv ( benntlakasos) angol
>nyelvtanfolyamot, ami kifejezetten beszedcentrikus es jo 
>tapasztalatok vannak vele kapcsolataban ?
>Istvan

Szerintem jok lehetnek a kulonbozo amerikai vallasi kozossegek 
itteni nyelvi taborai, a tavaszi szunetben tartott felveteli 
elokeszitok, esetleg a nyari szunidoben mindennap delelott
megtartott intenziv tanfolyamok. Utobbit regen a TIT szervezte. 
Sok minden fugg a tanartol is, erdemes ugy odamenni hogy mar tudsz 
egy kicsit angolul. Foleg hogy nyelvtanra nincs ido. 
Persze lehet jelentkezni brit nyelviskolakba is, bar itt eleg 
borsosak a koltsegek.  

Bela
+ - vegyesek+TELEBOROND (mind) VÁLASZ  Feladó: (cikkei)

Hello:
Ingyen TOEFL CD (me'g 2 hetig):
www.toefl.org/cdrom1.cfm
Muszaj a kisse debil negysoros signature-fajl-t a Treff Bubirol
egy TIPP-ben haromszor bekuldeni?
Muszaj a muszajt muszalynak irni?
Tanacs annak aki 100+ rossz elemtol akar mexabadulni:
Mar egyenkent is bedobhattad volna oket abba a kis lyukba azalatt az ido alatt,
 amig a TIPP-nek irsz ezugyben.
En is sokszor latok olyan temara valaszt, aminek az eredetije sehol nem talalha
to a TIPPekben.
Vagy olyat,hogy a valasz elobb van,  mint a kerdes! (Pl. a kalap-evesrol)!
Itt vetem fel a temat: Hogyan lehetne TELEKOCSI mintara TELEBOROND
programot szervezni? A posta nagyon draga! Pl. Konyvek kuldese
USA-M.o.-USA, es egyeb dolgok szallitasa. KKK - Kolumbiai kabitoszercsempeszek 
kimeljenek! De komolyan: az USA-bol a sulyhatar
64 kilo + kezipoggyasz - annyi franko cuccot lehetne kuldeni, ha 
lenne olyan kedves ember, aki elvinne'! Es vissza!
Be'be', a Bolcs Bagoly
 


Get your Free E-mail at / Ingyen e-mail Neked: http://sukosd.zzn.com
___________________________________________________________
Get your own Web-Based E-mail Service at http://www.zzn.com
+ - [HIRDETES] Hirdetes (mind) VÁLASZ  Feladó: (cikkei)

Kisaagy, racsos, feher, rakodos pelenkazoval kombinalt  elado.
     Ar: 12.000,-
     96/502-172
+ - [HIRDETES] Evolucio az arokban (mind) VÁLASZ  Feladó: (cikkei)

Azt a kerest szeretnem feltenni,hogyha barki tud valamit arrol az uj i-
ranyzatrol,amirol olyan bizonyitekok vannak pl. dino. csontban nyilvesszo
vagy dinoszaurusz labnyoma mellet emberlabnyom.Ha barki tud valamit ezekrol
kerem irja meg nekem!


____________________________________________________________
EGON - az ingyenes levelezorendszer  http://egon.gyaloglo.hu
+ - [HIRDETES] hirdetes - csonak csere (mind) VÁLASZ  Feladó: (cikkei)

Szigetszentmikloson halaszladik elado vagy munyag/felfujhato muanyag
csonakra elcserelendo. A  e-mail cimen lehet erdeklodni.
Denes Istvan
+ - [HIRDETES] pc elado (mind) VÁLASZ  Feladó: (cikkei)

Helloka,
a kovetkezo pc elado:

Haz: Midi-Tower (AT), Pentium Motherboard Intel HX 430 Chipset
+ 32 MB RAM (70 ns), IBM/Cyrix CPU PR 166 (intern 133 MHz),
HDD: Western Digital Caviar 1,2 GB, Floppy 3,5", 
max. 32x CD-ROM, Graphic card: S3 2 MB, MS Serial Mouse,

+ dokumentacio. A pc hibatlanul mukodik. Kiprobalhato.
Ar: 500,- DEM vagy 65.000,- HUF

udv:  Csabi
ps: infot, kerdeseket magancimemre, koszi! :-)
+ - [HIRDETES] Meghibasodott HDD (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!
Nagy bajban vagyok, meghibásodott a vinyóm. Egyáltalán nem bootol, a
setup autodetectje is csak nulla paramétereket lát. Azt mondják egyes
guruk, hogy valószinüleg a külsõ elektronikai panel hibásodott meg.
Ilyet keresek!!!! Tipusa: Quantum Fireball TM  (1.7 GB)
A panelon látható még egy ilyen nyomat: QUANTUM ASSY:20-11140
A rajta lévõ egyik cimkén meg ez látható:
1700AT TM17A012 REV 01-B A6B1T
SOS!!! A Quantum magyarországi forgalmazójának a cime és érdekelne!
Választ személyes E-mail-be kérek.
Ăśdv.
Sanyi
+ - [HIRDETES] Elado Cuccok (mind) VÁLASZ  Feladó: (cikkei)

Hello!
Elado   1 db 15 collos monitor (800*600 100Hz; Digitalis) 27000.-
        1 db PII 233 (3*112 es 3.5*103 megy, hutovel, ventivel) 30000.-
        1 db SB AWE32 (8MB Ram, IDE vezerlo, nem pnp-s) ???.-
	1 db S3 Virge DX 4MB PCI 3000.-

Ha erdekel valami irj vagy hivj a 06-30-9869-751-en.

Byte!
   Kiki
+ - [HIRDETES] Elado televizio (mind) VÁLASZ  Feladó: (cikkei)

Hello!

Elado egy Funai TV.
51cm kepatlo, OSD, Euroscart, Video In, Audio In, Video Out, Audio Out,
Sleep, On-Off Timer, 59 programhely. Teljesen hibatlan, csak vettem egy
nagyobbat.
30000.-

Byte!
   Kiki (06-30-9869-751)
+ - [HIRDETES] Mercedes 180d 1954 (mind) VÁLASZ  Feladó: (cikkei)

Szia 

keresek alkatrez Mercedes 180d 1954 model
meg 40 év follu auvtokot
kosz
+ - [HIRDETES] Bufevasarlas/berles (mind) VÁLASZ  Feladó: (cikkei)

Iskolai, vallalati vagy intezmenyi bufet vennek ill. berelnek.

Szecsi Brigitta
0630/ 9645 876
+ - [HIRDETES] Cinemax kamera (mind) VÁLASZ  Feladó: (cikkei)

Elado 1 Cinemax markaju, Super 8-as kamera. Automata zoom-os, esztetikus
kulso megjelenese van (ezust), es 7.000 Ftba kerul.
+ - [HIRDETES] Keresek tangoharmonikat es rex-asztalt!!! (mind) VÁLASZ  Feladó: (cikkei)

Ki tud tippet???
+ - [HIRDETES] Szerelmes vagy? (mind) VÁLASZ  Feladó: (cikkei)

Egy olyan Web oldallal szeretnelek megismertetni, amivel vagy mar most,
vagy nemsokara biztos kapcsolatba kerulsz. Ez a SZERELMESEK Web oldala,
Mindenkie aki Szerelmes, vagy aki Szerelmes akar lenni, aki Megtalalta mar
Tarsat e Vilagban, es aki meg csak keresi. Nezd meg te is!!! Es kerulj fel
Te es Szerelmed is a Web oldalra, es minden ami Veled es a Szerelemmel
kapcsolatos (dal, vers, mese, kep...
stb.) 

http://www.extra.hu/szerelmesek/angyalok.html 

Szia VARUNK! 
Brigi & Zoli
+ - [HIRDETES] Elado alkatreszek. (mind) VÁLASZ  Feladó: (cikkei)

Elado alkatreszek:ADAPTEC 1502 SCSI adapter ISA->SCSI 5000 Ft
GRAVIS ACE hangkartya dobozos kivitel CDlemezzel,sofverekkel
7000 Ft,Realtek VGA kartya 2000Ft.ACORP 33,6-os kulso modem
10000Ft
Valamint egy COMMODERE 1702 14" szines monitor video 
es hang bemenettel 12E Ft-ert.Valaszokat a 389-8025 vagy a
 -ra varom  Udv:  Tib:or
+ - [HIRDETES] K E R E S E M (konyv, lemezjatszo) (mind) VÁLASZ  Feladó: (cikkei)

Keresem a hatkotetes Cuczor - Fogarassy ertelmezoszotar
III.,  IV.,  V.,  VI.   koteteit!   
Keresek 78-as fordulattal is mukodo lemezjatszot.
Lehetoleg olyan hangszedovel kellene, amiben van
normal lemezhez hasznalatos tu. Netan ketnormas is
erdekelne. Ugyanitt gramofontuket es ciganyzenes
gramofonlemezeket is keresek. 
Bartha Agoston  tel:3853644,  06209 392397 vagy  
+ - [HIRDETES] Irodabutort keresek nagyobb tetelben (szekek (mind) VÁLASZ  Feladó: (cikkei)

Hi mindenki!

A subjectben emlitett targyakat /lehet hasznalt is/ szeretne vasarol a
ceg ahol dolgozom.
Kb. 10-20db szeket + par asztalt
A jelentkezes mail-ben v. a 30/9221-555-os telefonszamon, egesz nap.
Elore is koszonom

Andrusko Jozsef
+ - [HIRDETES] E: AMD 5x86-133 (mind) VÁLASZ  Feladó: (cikkei)

Hi *.*!

Eladosorba kerult egy AMD 5x86-133, 24 Mb rammal, feltuningolhato 160
mhz-re is, es azon is stabilan muxik. annak, aki a legtobbet adja erte.

kacsala

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS